Find Jobs
Hire Freelancers

To do a verilog project with testbench

$10-30 USD

Κλειστή
Αναρτήθηκε περίπου 8 χρόνια πριν

$10-30 USD

Πληρωμή κατά την παράδοση
Hello everyone, I need a project in Verilog for sending bits from PC to FPGA. And then the FPGA process the data and send it to a MCU. The output must be 1 bit/clock cycle. The idea is send the data using Matlab, so Matlab code would also be required. A clock signal and a load signal are also required. The load signal must be in high just only while the bits are being sent to the MCU and then goes to low level.
Ταυτότητα εργασίας: 9923052

Σχετικά με την εργασία

5 προτάσεις
Απομακρυσμένη Εργασία
Ενεργός/ή 8 χρόνια πριν

Ψάχνεις τρόπο για να κερδίσεις μερικά χρήματα;

Πλεονεκτήματα πλειοδοσίας στο Freelancer

Καθόρισε τον προϋπολογισμό σου και το χρονοδιάγραμμα
Πληρώσου για τη δουλειά σου
Περίγραψε την πρόταση σου
Η εγγραφή και η πλειοδοσία σε εργασίες είναι δωρεάν
5 freelancers δίνουν μια μέση προσφορά $66 USD για αυτή τη δουλειά
Avatar Χρήστη
We are a team consisting of different skilled people. We have FPGA/Verilog/VHDL, and Matlab experts in our team.
$30 USD σε 2 ημέρες
5,0 (3 αξιολογήσεις)
3,8
3,8
Avatar Χρήστη
A proposal has not yet been provided
$66 USD σε 1 ημέρα
5,0 (4 αξιολογήσεις)
0,4
0,4
Avatar Χρήστη
i am verilog hdl design engineer .. and i have done this type of projects .
$111 USD σε 10 ημέρες
0,0 (0 αξιολογήσεις)
0,0
0,0

Σχετικά με τον πελάτη

Σημαία της SPAIN
Porto, Spain
5,0
7
Μέλος από Δεκ 8, 2013

Επαλήθευση Πελάτη

Ευχαριστούμε! Σου έχουμε στείλει ένα email με ένα σύνδεσμο για να διεκδικήσεις τη δωρεάν πίστωση σου.
Κάτι πήγε στραβά κατά την προσπάθεια αποστολής του email σου. Παρακαλούμε δοκίμασε ξανά.
Εγγεγραμμένοι Χρήστες Συνολικές Αναρτημένες Δουλειές
Freelancer ® is a registered Trademark of Freelancer Technology Pty Limited (ACN 142 189 759)
Copyright © 2024 Freelancer Technology Pty Limited (ACN 142 189 759)
Φόρτωση προεπισκόπησης
Δόθηκε πρόσβαση για Geolocation.
Η σύνδεση σου έχει λήξει και τώρα έχεις αποσυνδεθεί. Παρακαλούμε συνδέσου ξανά.