Φίλτρο

Οι πρόσφατες αναζητήσεις μου
Φιλτράρισμα κατά:
Προϋπολογισμός
σε
σε
σε
Είδος
Δεξιότητες
Γλώσσες
    Κατάσταση Δουλειάς
    2,000 x11 fpga δουλειές που βρέθηκαν, με τιμές EUR
    Pakistan based VHDL/Quartus Expert Needed 6 μέρες left
    ΕΠΙΒΕΒΑΙΩΜΕΝΟ

    I'm currently seeking an individual who is not only proficient in VHDL coding but also in Quartus design implementation. Key Responsibilities: - Work on specific tasks related to VHDL coding - Implement design using Quartus While the overall aim of the project and the timeline aren't spec...coding but also in Quartus design implementation. Key Responsibilities: - Work on specific tasks related to VHDL coding - Implement design using Quartus While the overall aim of the project and the timeline aren't specified yet, I am eager to work with someone who is flexible and can adapt as per project needs. The ideal candidate for this role should be based in Pakistan, knowledgeable in FPGA programming, dependable, efficient, and proactive when it comes to troubleshoo...

    €381 (Avg Bid)
    €381 Μέση Προσφορά
    5 προσφορές

    ...audio circuits. • Experience with handling multi-channel signals. • Familiarity with line level audio signal processing and mixing. We need an engineer with deep experience in high-end analog circuit design using low noise operational amplifiers. We will provide basic diagrams and objectives. The project involves high quality op amps and line drivers for an audio routing project. We will be using FPGA platforms with SPI and TCP/IP to send commands to digitally controlled audio daughterboards in a card cage configuration. The proof of concept will include circuit design and a functioning prototype of two audio channel for control and noise and sweep analysis of the output. We have pre-selected chip sets and will provide prototype hardware....

    €2074 (Avg Bid)
    €2074 Μέση Προσφορά
    19 προσφορές

    I have 5 pages designed on Canva and I need them compiled into a single document for printing purposes. The desired final document size is 8.5 x 11 inches in portrait orientation with narrow (0.5 inches) margins on all sides. Key Tasks: - Consolidate 5 Canva pages into a single document - Ensure the document is in 8.5 x 11 inches size - Keep the orientation as portrait Ideal Skills: - Proficiency in Canva - Experience in document compilation - Attention to detail for accurate sizing and margins - Understanding of printing requirements I'm looking for a freelancer who can efficiently complete this task while maintaining the original design and ensuring the final document is print-ready.

    €16 (Avg Bid)
    €16 Μέση Προσφορά
    61 προσφορές
    FPGA Board Hardware Implementation 2 μέρες left
    ΕΠΙΒΕΒΑΙΩΜΕΝΟ

    The goal of this project is using Vivado tools to enable a hardware implementation on an FPGA board. The key requirement from the FPGA board is high computational speed. Therefore, proficiency in Verilog language is preferred as I intend to implement the NTT algorithm. I am looking for a developer who is experienced with FPGA boards and Vivado tools. The chosen freelancer should also have the ability to maximize computing capabilities of the board for the said implementation.

    €300 (Avg Bid)
    €300 Μέση Προσφορά
    4 προσφορές
    Fast NTT Implementation Using Xilinx Artix-7 -- 2 1 μέρα left
    ΕΠΙΒΕΒΑΙΩΜΕΝΟ

    I'm in need of a specialized expert to carry out an implementation of the NTT (Number-Theoretic Transform) module on a Xilinx Artix-7 FPGA. The main objective of this project is to enhance computational performance. Key requirements include: - Proficient understanding of FPGA programming, particularly expertise in the Xilinx Artix-7 platform. - An in-depth experience in Number Theoretic Transforms. - Ability to develop a highly efficient, low latency, energy-efficient implementation that significantly boosts computational performance. Please only bid if you feel fully confident in meeting these requirements. Your expertise in this niche task will be highly valued.

    €57 (Avg Bid)
    €57 Μέση Προσφορά
    2 προσφορές

    Im working on a c++ image processing project , and i need to convert my C++ code to Verilog using HLS vitis , then implement it to run on Ultra96v2 Xilinx FPGA board .

    €453 (Avg Bid)
    €453 Μέση Προσφορά
    17 προσφορές

    a comprehensive research paper on an FPGA based Accident Detection and Prevention Software.

    €61 (Avg Bid)
    €61 Μέση Προσφορά
    1 προσφορές

    I'm looking for a seasoned research writer with proficiency in technology, specifically FPGA software systems. The focus is on creating a comprehensive research paper on an FPGA based Accident Detection and Prevention Software. Key objectives include but are not limited to: - Understanding of real-time accident detection - Expounding on measures for accident prevention - Thorough explanation of the emergency communication system The ideal freelancer should be knowledgeable about artificial intelligence and its implementation in accident detection systems. Critically, they should be able to dissect and present complex tech language into a comprehensible writing. Evidence of past research work in this field will be a major plus.

    €12 (Avg Bid)
    €12 Μέση Προσφορά
    4 προσφορές
    VHDL Pitch Detection System Έχει λήξει left

    I'm looking for an expert in VHDL to develop a Field Programmable Gate Array (FPGA) project focused on pitch detection, specifically for speech recognition purposes. The system needs to be able to process live audio input. Required Skills: • Expertise in VHDL • Experience with FPGA development • Knowledge of audio processing, specifically pitch detection for speech recognition purposes If you have the above skills and experience, please make an informed bid.

    €443 (Avg Bid)
    €443 Μέση Προσφορά
    6 προσφορές

    I'm in urgent need of skilled VHDL/Quartus professionals from Pakistan for a project. I will clarify the specifics once a mutual understanding and agreement is reached. Ideal skills for the job include: - Proficiency in VHDL/Quartus - Ability to design, troubleshoot and optimize digital circuits - Ability to work independently or with minimal supervision - Excellent communication skills to effectively explain intricate concepts or problems Experience level can range from beginner to expert. The expectation, however, is the ability to deliver quality work within the stipulated time-frame.

    €202 (Avg Bid)
    €202 Μέση Προσφορά
    5 προσφορές

    I am currently working on a traffic light project and I need the expertise of a VHDL programming guru. Someone who has had previous experience programming the FPGA DEO Nano development board would be a perfect fit, as that's what I am specifically working with. I am using VHDL to code for the EP4CE22F17C6N board. The base of my project, using a state machine, has already been created. As far as the hardware end of things, I've already prepared the circuit diagram and have started with LED lights and toggle switches. But I do need to make some changes in it as the requirement in order to make it more complex for that I need someone who can do the following additions or changes in the project that I have attached in my zip folder to work exactly as described in the followi...

    €132 (Avg Bid)
    €132 Μέση Προσφορά
    7 προσφορές
    10000 Έχει λήξει left

    Stepper motor controller in FPGA which generates pulses according to command. verilog code

    €110 (Avg Bid)
    €110 Μέση Προσφορά
    1 προσφορές

    I'm on the hunt for a skilled FPGA programmer, who possesses proficiency in both Verilog and Vivado, to construct and operate a user-friendly program for my FPGA board. The selected FPGA board is from the Xilinx Artix-7 family (part: xc7a100tcsg324-1). The program’s main responsibility will be to feature a rudimentary vending machine program with the following specifications: - Two component spaces which will each hold a distinct item. - A simplified interface featuring two push buttons as part of a keypad. - A capable card reader to handle seamless payment processing. - A clear 3 digit display that relays instructions and alerts to the user. An ideal candidate for this project should have extensive experience working with Artix-7 FPGA boards and...

    €167 (Avg Bid)
    €167 Μέση Προσφορά
    12 προσφορές

    I'm on the hunt for a skilled FPGA programmer, who possesses proficiency in both Verilog and Vivado, to construct and operate a user-friendly program for my FPGA board. The selected FPGA board is from the Xilinx Artix-7 family (part: xc7a100tcsg324-1). The program’s main responsibility will be to feature a rudimentary vending machine program with the following specifications: - Two component spaces which will each hold a distinct item. - A simplified interface featuring two push buttons as part of a keypad. - A capable card reader to handle seamless payment processing. - A clear 3 digit display that relays instructions and alerts to the user. An ideal candidate for this project should have extensive experience working with Artix-7 FPGA boards and...

    €90 (Avg Bid)
    €90 Μέση Προσφορά
    4 προσφορές
    Cryptocurrency PCB Prototype Design Έχει λήξει left

    I need a proficient Embedded Engineer to design a PCB prototype for cryptocurrency mining. An efficient board that carries 1 to 16 chips (ASIC, FPGA, and/or Microcontrollers) is expected, with the ability to handle 5V (200W) Max. Strong expertise in Electrical Engineering and experience in designing a 4 layer PCB board is preferable; those with a background in cryptocurrency technology hold a significant advantage. Deliverables include the final working PCB prototype model. Reliability, accuracy, and attention to detail are crucial for this project. - BOM File has to be provided - CPL & Gerber files has to be provided - NEEDS to be cost-effective for mass production - Any mining algorithm should/could be programmable - Considerable high hashrate is expected - Raspberry Pi 3/4/5...

    €546 (Avg Bid)
    €546 Μέση Προσφορά
    19 προσφορές
    FPGA Program Build & Analysis Έχει λήξει left

    I am in need of a seasoned FPGA programmer, proficient in Verilog and Vivado, who can build and run a program for me on a ZYNQ 7000 FPGA board. Our primary goal is: - To work on a program that performs Homomorphic Encryption Algorithm, by analysing its architecture - You'll need to identify the blocks responsible for addition and multiplication operations, as well as enumerate all IO used for these operations. Ideal candidate should have: - Extensive experience in conveying complex FPGA architectures in an understandable form - Proficiency in using Vivado for hardware simulation

    €16 / hr (Avg Bid)
    €16 / hr Μέση Προσφορά
    11 προσφορές

    I'm in need of an FPGA expert with experience in VIVADO, to implement different edge detection algorithms, including Canny and Sobel, for the purpose of comparing their performance. Key Requirements: - Implement edge detection algorithms in VIVADO: The primary task is to develop and deploy edge detection algorithms in an FPGA, with a focus on Canny and Sobel techniques. - Algorithm Performance Evaluation: The main goal of this project is to compare the efficacy and efficiency of different edge detection algorithms, so you should have a strong background in image processing and be able to provide a thorough analysis of their performance. - Knowledge of other edge detection algorithms: While Canny and Sobel are the main focus, knowledge of other edge detection algorithms ...

    €117 (Avg Bid)
    €117 Μέση Προσφορά
    2 προσφορές

    I'm in need of an FPGA expert with experience in VIVADO, to implement different edge detection algorithms, including Canny and Sobel, for the purpose of comparing their performance. Key Requirements: - Implement edge detection algorithms in VIVADO: The primary task is to develop and deploy edge detection algorithms in an FPGA, with a focus on Canny and Sobel techniques. - Algorithm Performance Evaluation: The main goal of this project is to compare the efficacy and efficiency of different edge detection algorithms, so you should have a strong background in image processing and be able to provide a thorough analysis of their performance. - Knowledge of other edge detection algorithms: While Canny and Sobel are the main focus, knowledge of other edge detection algorithms ...

    €13 (Avg Bid)
    €13 Μέση Προσφορά
    3 προσφορές
    Trophy icon Bold 75th Anniversary Magazine Cover Έχει λήξει left

    I am in need of a skilled graphic designer to create a vibrant and bold cover for a magazine (8.5"x11") celebrating our companies 75th Anniversary. The audience for this cover is industry professionals, therefore, the cover should appeal to this demographic in the design. Notes about the design: 1. The Anniversary Logo (attached) must be used in the design. 2. Colors of the logo can be altered if you feel it would be best for the design. 3. We were thinking something with confetti or balloons might be nice (or maybe even champagne). It should have a celebratory feel to it. 4. The company is for industry professionals, so while we want it to be celebratory, we don't want it to feel immature (like a kids birthday party or something) 5. We have included some examples of...

    €46 (Avg Bid)
    Εγγυημένος
    €46
    148 συμμετοχές

    I'm seeking expertise in FPGA or DSP-based systems for an autonomous vehicle project. The primary objective is to design an object detection and tracking system with a specific focus on vehicles. Key responsibilities: - Develop a system that can effectively identify different types of vehicles Based on trained model. - Ensure its superiority in optimizing performance and decreasing latency. - Incorporate techniques that allow for the real-time operation of the autonomous vehicle. -RS-232/422 Serial Communication Ports. Ideal Skills and Experience: - Extensive knowledge in DSP or FPGA. - Proven experience in vehicle detection and tracking. - Versatility to craft a reliable solution for autonomous vehicles. - Expertise in real-time systems highly preferred. Your propos...

    €935 (Avg Bid)
    €935 Μέση Προσφορά
    20 προσφορές
    Project for Saddam G. Έχει λήξει left

    Hello, my friend, I'm a Turkish student studying in Turkey. I'm asking for your help on a simple project. My professor has asked me to control motor speed using FPGA-based PID control. I've noticed that you're good at these topics and work with an economical approach, as I've read positive comments about you. I know this isn't a very difficult task. Can we discuss how much we can agree on for this? Please keep in mind that I'm a student and in a difficult situation. Can you help me?

    €9 / hr (Avg Bid)
    €9 / hr Μέση Προσφορά
    1 προσφορές

    I'm working on a project that involves evaluating image quality using machine learning on an FPGA. Key Requirements: - The primary goal of this project is to achieve highly accurate results in image quality. - The images I'll be evaluating are mostly photographs. - I'm looking to implement a Convolutional Neural Network (CNN) model for this project. Key Skills/Experience needed: - Proficiency with FPGA development, particularly with VIVADO. - Strong background in image processing and machine learning. - Previous experience with implementing CNN models on FPGA for image quality evaluations would be a great plus. If you're confident in your FPGA skills, have a background in image processing and ML, and have worked with CNN models, I'm ...

    €15 (Avg Bid)
    €15 Μέση Προσφορά
    3 προσφορές

    I'm in need of an expert in FPGA and machine learning with a focus on Convolutional Neural Network (CNN) and YOLO algorithms. The primary goal of this project is to evaluate image quality with the maximum possible accuracy. Some key details: - FPGA: Experience with VIVADO is highly preferred. - Machine Learning: A strong background in implementing CNN and YOLO algorithms is essential. - Image Size: The desired input image size is 416x416. The project aims to achieve high accuracy in image quality evaluation through these machine learning algorithms on the FPGA. The freelancer is expected to work closely with me to ensure the project meets the desired outcomes.

    €11 (Avg Bid)
    €11 Μέση Προσφορά
    4 προσφορές
    FPGA Acceleration for Trading Έχει λήξει left

    I'm seeking an FPGA expert to help with the hardware acceleration of my trading operations. Key Requirements: - The main goal of this project is to accelerate trading operations using FPGA technology. - The specific trading applications that need acceleration include high-frequency trading and algorithmic trading. Ideal Skills: - Strong experience in FPGA design and implementation. - Deep understanding of hardware acceleration techniques. - Background in trading or finance (highly preferred but not mandatory). - Familiarity with high-frequency and algorithmic trading algorithms. This project offers a great opportunity for a skilled FPGA engineer to work on a challenging and rewarding subject area.

    €36 / hr (Avg Bid)
    €36 / hr Μέση Προσφορά
    12 προσφορές

    I'm seeking a skilled FPGA developer to construct an intermediate-level chessAI project. The AI is expected to run real-time on a Spartan-7 FPGA board, using Vivado and Vitis. Key Project Details: - **Real-time Performance:** The AI should be optimised for real-time operation on the FPGA board. - **Intermediate Complexity:** The chessAI should be capable of intermediate-level game play, providing engaging and challenging performance. - **FPGA Model:** The project is designed for a Spartan-7 FPGA board, hence prior experience with this model is preferable. Key Skill Requirements: - Proficiency in FPGA development, particularly with Vivado and Vitis. - Prior experience in designing chessAI or comparable AI projects. - Expertise in optimising A...

    €154 (Avg Bid)
    €154 Μέση Προσφορά
    7 προσφορές

    DEADLINE 21st I need an Object Detection(displays text on screen of object name) & Live Streaming system(records video when switch or button pressed), all to be implemented on a Zybo Z7 board with a pcam 5c camera module. Here are the details: - **Programming Language**: The system needs to be developed using verilog and xlinx tools. - **Standalone or Integrated**: I'm looking for the Object Detection & Live Streaming system to be integrated with zyboz7 and pcam5c. - **Functionality**: The system should perform real-time object detection and identification, as well as record and store live streams for later analysis. Finally report that includes tests/testbenches should be included based on requirements in

    €201 (Avg Bid)
    €201 Μέση Προσφορά
    10 προσφορές

    I'm looking for a developer to create a system for my Zybo Z7 board that can detect people in real-time through a connected pcam5c camera and display the d...people accurately. - Real-time Video Streaming: The video feed should be streamed in real-time. - Text Overlay: The detection results should be displayed as a text overlay on the video. Skills/Experience Required: - Proficient in Xilinx SDK and Xilinx Vivado. - Strong background in object detection, particularly with people. - Previous experience with video processing and streaming. - Knowledge of FPGA programming and VHDL/Verilog is a plus. Please note that my budget for this project is $60. I'm open to hearing from freelancers who can deliver within this budget. I have worked on single pixel (multipixel zoom.v i...

    €56 (Avg Bid)
    €56 Μέση Προσφορά
    2 προσφορές

    ... database does not update properly. No error was found when it was done, Every step says "ok" It is an example for any categories. Error sample Dolibarr: 17.0.4 - Nivel de funciones: 0 PHP: 7.4.3-4ubuntu2.20 Server: Apache/2.4.41 (Ubuntu) OS: Linux ubuntuserver-i-hellbam 5.4.0-174-generic #193-Ubuntu SMP Thu Mar 7 14:29:28 UTC 2024 x86_64 Agente de usuario: Mozilla/5.0 (X11; Ubuntu; Linux x86_64; rv:124.0) Gecko/20100101 Firefox/124.0 URL solicitada: /product/ Referer: Administrador de menús: Módulos/Aplicaciones: syslog, user, export, propal, contrat, expedition, supplier_proposal, loan, margin

    €27 (Avg Bid)
    €27 Μέση Προσφορά
    34 προσφορές

    I am looking to integrate two ultrasonic sensors onto a Nexys A7 FPGA board using VHDL. My primary goal is using these sensors for object tracking and distance measurement. Integrate TWO HCSR-04 ultrasonic sensors to an Nexys A7 fpga board so that I can read data from both sensors. I need for the seven-segment display that is on the board to show the distances measured. Using a pushbutton on the board, have the display change to show readings from sensor 1 and when pushed the display shows readings from sensor 2 and vice versa. So basically, the pushbutton toggles which sensor's measurement is displayed on the seven segment display. Also, another push button will be used to toggle back and forth between displaying the distance in centimeters (cm) or inches (in) for both...

    €146 (Avg Bid)
    €146 Μέση Προσφορά
    13 προσφορές

    I am looking to integrate two ultrasonic sensors onto a Nexys A7 FPGA board using VHDL. My primary goal is using these sensors for object tracking and distance measurement. Integrate TWO HCSR-04 ultrasonic sensors to an Nexys A7 fpga board so that I can read data from both sensors. I need for the seven-segment display that is on the board to show the distances measured. Using a pushbutton on the board, have the display change to show readings from sensor 1 and when pushed the display shows readings from sensor 2 and vice versa. So basically, the pushbutton toggles which sensor's measurement is displayed on the seven segment display. Also, another push button will be used to toggle back and forth between displaying the distance in centimeters (cm) or inches (in) for both...

    €82 (Avg Bid)
    Επείγον
    €82 Μέση Προσφορά
    8 προσφορές

    I am looking for a freelancer to help me with a project that involves evaluating image quality with implementing machine learning algorithms on an FPGA. VIVADO would be preferred to work on. I am seeking a detailed project proposal from freelancers. with Verilog coding Ideal skills/experience: VERILOG VIVADO

    €41 (Avg Bid)
    €41 Μέση Προσφορά
    5 προσφορές
    X11 Preloader Issue Resolver Έχει λήξει left

    I need an expert coder who has a solid understanding of Linux and C++. I am using a x11 application, specifically written in C++, which has encountered a unique problem. The preloader, created for adding a window decoration, seems to be malfunctioning. Rather than loading the window decoration as intended, no changes are implemented at all, leading to an ineffective preloader. The issue needs to be resolved promptly. Ideal Skills and Experiences: - Deep understanding of Linux systems - Proficiency in C++ - Experience with X11 applications - Sufficient knowledge of debuggers and preloaders - Troubleshooting and problem resolution abilities Your task will be to analyze, detect the issue and implement a working solution. Your solution should properly load

    €104 (Avg Bid)
    €104 Μέση Προσφορά
    13 προσφορές

    As someone who is keen on enhancing the efficiency of our digital design processes, I am looking for ...Developing SAT solver and DPLL algorithm. 1. C++ programming: The engineer will be required to focus mainly on enhancing and implementing algorithms. 2. Digital circuit design: Basic understanding and experience in digital circuit design is essential. Ideal Profile: - Strong C++ programming skills - Experience in implementing algorithms using C++- Digital Design Experience While the experience in FPGA programming and knowledge on HDL are beneficial, the prime focus will be on C++ software development and digital design. Hence, I'm primarily seeking a candidate who excels in the field of programming over circuit design. Nonetheless, an individual who combines both will be t...

    €14 / hr (Avg Bid)
    €14 / hr Μέση Προσφορά
    16 προσφορές
    PCILeech FPGA DMA firmware Έχει λήξει left

    I'm seeking an experienced and detail-oriented developer to create a Custome PCILeech firmware for SCREAMER PCIE SQUIRREL direct access memory card utilizing the 7 Series FPGA 35t chip. Firmware must emulate a real PCIe device and handle TLP requests. Make sure to use the PCILeech bitstream made for the Squirrel. Firmware must bypass and avoid anti-cheat detection on EAC/BE etc. Responsibilities: - Develop firmware for PCILeech FPGA - Debugging and problem-solving throughout firmware development Skills & Experience: - Strong experience in FPGA programming and firmware development - Excellent debugging and problem-solving skills - Experience with high-speed data transmission - Proficiency with VHDL/Verilog languages The timeline for project completion is fle...

    €504 (Avg Bid)
    €504 Μέση Προσφορά
    29 προσφορές
    Verilog 4x4 to 8x8 Image Encoder Έχει λήξει left

    For this project, I need a skilled Verilog programmer with FPGA implementation experience. The key task is to encode a 4x4 binary (black and white) image into an 8x8 image using least significant bit replacement. Key Responsibilities: - Implementing a least significant bit replacement algorithm. - Delivering clean and efficient Verilog code. - Ensuring compatibility with FPGA hardware. Required Skills and Experience: - Proficiency in Verilog code - Understanding of LSB replacement - Experience with FPGA implementation - Working knowledge of image processing, specifically with binary images.

    €13 (Avg Bid)
    €13 Μέση Προσφορά
    5 προσφορές
    €232 Μέση Προσφορά
    1 προσφορές

    I am looking for an expert in FPGA to develop an advanced video mixer capable of integrating multiple sources of video through an HDMI input. This device will be largely used for performing real-time video mixing with a primary focus on merging several HDMI inputs. The ideal output interface that the mixer should extensively support is the HDMI output. It's essential that you have: - Proven experience in FPGA programming and design. - Knowledge of HDMI protocols and signal processing. - Understanding of video data handling for a range of HDMI inputs. - Experience in delivering HDMI output for video mixers. This project will require an understanding of HDMI input and HDMI output configurations to ensure seamless video mixing. It's critical for this project that...

    €167 (Avg Bid)
    €167 Μέση Προσφορά
    9 προσφορές

    ...nÆ8ä0=hKWx91©¨x17¹zx14Bx93)x85N+x9alx08_x81ýx113x8d8x1a·x1c¾vHoO;cþx12ÎDûb&cb^3x84[x0dx1e7Ds±Í~x03A!òyópex07PeÚx14âq1x0eµ³+P1Y#eÖÓ´sòÁ¿dx8a*Vix0dx00x03x18ËaølQx8eÜ&Å{x03Z®ð²x1a3=×YYx0dóAâoñ;,}ègÿx08rPx9bƬná"#x11x91êÆx19ÏâQRA®x01~x08nW ÎßøKYt-@ùx9f1hàÉýx11Zx9aÐ,²¡E3jÇz Îx10ºñs¥;Îñ^ktBU&Uá}'´§T9Ó7ÎdY1³x1e&Icir...

    €19 / hr (Avg Bid)
    €19 / hr Μέση Προσφορά
    22 προσφορές

    I have a design that works with an Intel SoC, but I want a version using Efinix FPGA. It involves - FPGA providing control and timing pulses to the image sensor - LVDS data lines between the image sensor and the FPGA - DDR memory attached to the FPGA - a connection from FPGA to FT601 to make a USB3.0 connection (I know there are other ways to get the FPGA to make USB3.0 directly, but there's a reason why we want to use FT601 chip). This is the image sensor I think this FPGA would be suitable, but proposals can be discussed.

    €98 (Avg Bid)
    €98 Μέση Προσφορά
    5 προσφορές

    I have a design that works with an Intel SoC, but I want a version using Efinix FPGA. It involves - FPGA providing control and timing pulses to the image sensor - LVDS data lines between the image sensor and the FPGA - DDR memory attached to the FPGA - a connection from FPGA to FT601 to make a USB3.0 connection (I know there are other ways to get the FPGA to make USB3.0 directly, but there's a reason why we want to use FT601 chip). This is the image sensor I think this FPGA would be suitable, but proposals can be discussed.

    €111 (Avg Bid)
    €111 Μέση Προσφορά
    4 προσφορές

    I have a design that works with an Intel SoC, but I want a version using Efinix FPGA. It involves - FPGA providing control and timing pulses to the image sensor - LVDS data lines between the image sensor and the FPGA - DDR memory attached to the FPGA - a connection from FPGA to FT601 to make a USB3.0 connection (I know there are other ways to get the FPGA to make USB3.0 directly, but there's a reason why we want to use FT601 chip). This is the image sensor I think this FPGA would be suitable, but proposals can be discussed.

    €131 (Avg Bid)
    €131 Μέση Προσφορά
    3 προσφορές

    I am looking for a skilled professional who will help to develop a facial detection system using the Viola Jones algorithm optimized on a FPGA. This project will include implementation of hardware acceleration to enhance real-time facial detection. The Skills and Experience Required: - Strong proficiency in C++ programming - Extensive experience with FPGA, specifically with the Digilent Nexys A7 model - In-depth knowledge of the Viola Jones algorithm - Prior experience in real-time facial detection projects

    €517 (Avg Bid)
    €517 Μέση Προσφορά
    22 προσφορές
    SDLC to SPI Data Conversion on FPGA Έχει λήξει left

    In this project we will be implementing a control system using the Lattice iCE FPGA. The task at hand involves converting a schematic for a Synchronous Data Link Control (SDLC) data stream to an SPI Master data stream converter to Verilog or VHDL and then verifying the design through simulation. And finally creating the file that will be used to program the target part in production. The ideal freelancer for this job is proficient in working with FPGAs, preferably with a strong background in the Lattice iCE FPGA. I’m looking for someone adept in schematic to HDL conversion. Experience in working with SDLC data will serve as a plus. Please ensure that your experience and skills include: - FPGA development, specifically with the Lattice iCE. - Expertise in sch...

    €48 / hr (Avg Bid)
    €48 / hr Μέση Προσφορά
    7 προσφορές
    Review VHDL Code Έχει λήξει left

    We've written some VHDL code for FPGA we need someone to adapt it to our coding standard. we will share a report of all violations for a set of files and the VHDL code shall be modified as specified in the coding standard. To make an example all signals shall be names s_<signal_name>, signal test_sig : std_logic; -- violation! signal s_test_sig : std_logic; -- correct the code will be shared with a Gitlab repo, a dedicatd branch will be created to modify the original code. the code shall be compiled, to chekc no errors were introduced. as soon as the code is delivered we wil rerun the checker to chek for residual errors, and we would provide a feedback (unless the freelancer has the same checker tool)

    €448 (Avg Bid)
    €448 Μέση Προσφορά
    26 προσφορές

    I'm seeking an experienced Simulink HDL coder to assist with a project focused on generating and optimizing HDL c...Simulink models. The models primarily consist of mixed-signal systems such as memory array and ADC, so previous experience working with these is crucial. The objective is to target this code to Field Programmable Gate Arrays. Ideal Skills and Experience: • Extensive experience with Simulink HDL coder • Understands how to optimize HDL code • Previous work with mixed-signal systems • Experience with FPGA and ASIC implementation This is an excellent opportunity for an experienced coder who is familiar with Simulink Model and has a solid understanding of HDL code and mixed-signal systems. Your expertise in these areas will greatly contribute to ...

    €17 - €139
    €17 - €139
    0 προσφορές
    FPGA Programming Mastermind Needed Έχει λήξει left

    I'm actively seeking a dedicated and experienced FPGA Programmer to assist with the tasks of designing the logic and writing the code for my project. Responsibilities: • Logic Design: Responsibility to design the logic that meets the project requirements. You should be able to effectively create a practical and efficient design. • Code Writing: You will write the code for the logic design. Your code writing should be clean, optimized and easy to understand. Project Requirements: • Performance and size constraints are significant factors in this project. Your design and code should focus on maximizing performance while considering the size limitations. • Functionality: The project involves data processing and signal processing. Experience and understa...

    €19 / hr (Avg Bid)
    €19 / hr Μέση Προσφορά
    21 προσφορές
    Advanced FPGA Design Specialist Έχει λήξει left

    Hi, I need someone really experienced in FPGA, DSP, and specifically IFF signlas. I need to create an algorithim to detecet different modes of IFF signals and classify them. then display the data of the signals. I will aslo need someone to finalize the verilog code, help me with testing, debugging of my project, it will be a long time agreement not just a task to be done. I am hoping to collaborate with a diligent engineer who accurately interprets given instructions and is capable of delivering quality work. I am looking forward to your proposals.

    €557 (Avg Bid)
    €557 Μέση Προσφορά
    14 προσφορές
    PYNQ Board Matrix Multiplication Έχει λήξει left

    I need someone proficient in FPGA programming and matrix manipulations to develop a solution that involves multiplying two-dimensional matrices on PYNQ Boards. The project's objectives include: • Computing processes for small-sized matrices • Ability to handle medium-sized matrices • Building the necessary verilog code and TB to facilitate and optimize the matrix multiplication on the PYNQ boards Here are the critical skills and experience needed for the job: • Familiarity with PYNQ FPGA programming • Experience working with PYNQ Boards • Deep understanding of matrix operations • Proficient in hardware description language (VerilogSV)

    €36 (Avg Bid)
    €36 Μέση Προσφορά
    2 προσφορές

    We have an existing VHDL project that implements various memory protocols that runs on a Lattice FPGA (MachXO2 LCMXO2-4000HC). The FPGA is used as a high-speed data bridge between an ARM based microcontroller and a connected memory device (using SMC). This project is to expand the existing project and add support for the eMMC protocol and eMMC Flash memory devices. For testing, we have acquired various 64GB devices that are available on Mouser and DigiKey. Basic functionality is required: reading/writing/erasing with legacy speeds and 1,4,8-bit data bus. Project can be extended to include additional modes / features. The project is in Lattice Diamond, and written in VHDL. All work must be done to the existing project. And tested using exported bitstream binaries. Note: if ...

    €7651 (Avg Bid)
    €7651 Μέση Προσφορά
    8 προσφορές